2bit comaraor

F

fm_com_28

Guest
Hyvä,

Haluan suunnitella 2-bittinen vertailuryhmässä käyttäen VHDL että on kaksi allekirjoittamaton std_logic_vectrors ja B tuottaa bittiä L, G, E, jossa

L = 1, jos <B
G = 1, jos> B
E = 1, jos = B

joten jos hän voi auttaa minua löytämään ohjelman tähän malliin
osalta

 
Sen hyvin yksinkertainen!
Yleisempää uudelleenkäytettäviä suunnittelu katso tietolomakkeet CD4585.

Koodi:

kirjasto IEEE;

käyttö ieee.std_logic_1164.all;yksikkö vertailuhenkilöäportti (

: In std_logic_vector (1 downto 0);

B: std_logic_vector (1 downto 0);

L: out std_logic;

G: out std_logic;

E: out std_logic);lopussa vertailukohta;arkkitehtuuri käynnin lisään ja vertailuhenkilöäalkaa - käynnin lisäänprosessi (A, B)

muuttuja G_tmp: std_logic;

muuttuja L_tmp: std_logic;

muuttuja E_tmp: std_logic;

alkaa - prosessi

G_tmp: = '0 ';

E_tmp: = '0 ';

L_tmp: = '0 ';

jos> B sitten

G_tmp: = '1 ';

elsif = B sitten

E_tmp: = '1 ';

muu

L_tmp: = '1 ';

end if;

G <= G_tmp;

E <= E_tmp;

L <= L_tmp;

Lopeta prosessi;lopussa käynnin lisään;
 

Welcome to EDABoard.com

Sponsor

Back
Top