N
nkef
Guest
I instanciated kerroin kanssa "*"-tunnus seuraavat yksikkö
kirjasto IEEE;
käyttää IEEE.std_logic_1164.all;
käyttää ieee.std_logic_arith.all;
yksikkö mult on
Yleinen (inst_A_width: integer: = 8;
inst_B_width: integer: = 8
);
satama (a: in std_logic_vector (inst_A_width-1 downto 0);
b: in std_logic_vector (inst_B_width-1 downto 0);
p: pois std_logic_vector (inst_A_width inst_B_width-1 downto 0));
loppuun mult;
arkkitehtuuri käyttäytymistieteellisen ja mult on
aloittaa
p <= unsigned (a) * unsigned (b);
loppuun käyttäytymiseen;
Tein sen Design Compilere,
siltä osin kaikki ok.
Sen jälkeen, kun käytän linkkiä komento,
saan seuraavan virheilmoituksen.
design_vision-t>-linkkiä
Linking design "mult_dw"
Käyttäen seuraavia malleja ja kirjastot
-------------------------------------------------- ------------------------
tyypillinen (kirjasto) / usr/eda/libraries/tsmc013/synopsys/typical.db
dw_foundation.sldb (kirjasto) / usr / eda / Synopsys / SYN /
libraries / SYN / dw_foundation.sldb
Varoitus: ei voi ratkaista viite "mult_dw_DW02_mult_8_8_0 'in' mult_dw".(LINK-5)
0
tai kun yritän viedä VHDL-muodossa
design_vision-t> kirjoittaa-hierarkia-format VHDL-tuotos mult_synthed.vhd
Varoitus: On tietojen välinen ero Synopsys tietokanta ja tulostus tiedostoon.(VHDL-286)
Varoitus: On tietojen välinen ero Synopsys tietokanta ja tulostus
...
Olen luonut yksinkertaisen dc_setup tiedosto tutkimaan ongelmaa:
asettaa search_path [luettelo / usr/eda/libraries/tsmc013/synopsys \
/ usr/eda/libraries/tsmc013/symbols/synopsys / usr/eda/libraries/tsmc013/vhdl $ search_path]
asettaa target_library [luettelo typical.db]
asettaa link_library [luettelo (*) typical.db]
asettaa symbol_library [luettelo tsmc13.sdb]
# Designware Kirjastot
asettaa synthetic_library [luettelo dw_foundation.sldb]
asettaa link_library [concat $ target_library $ synthetic_library]
asettaa search_path [concat $ search_path [luettelo \
[muotoon% s% s $ synopsys_root / dw / sim_ver]]]
asettaa synlib_wait_for_design_license [luettelo DesignWare]
The Designware Kirjastot kokoonpanon sain sen poistamiseksi Designware Käyttöopas.
Myös i varmistanut, että Designware lisenssi on käytössä.
Olen clueless
<img src="http://www.edaboard.com/images/smiles/icon_cry.gif" alt="Crying tai Erittäin surullista" border="0" />
,
Paljon kiitoksia avusta.
kirjasto IEEE;
käyttää IEEE.std_logic_1164.all;
käyttää ieee.std_logic_arith.all;
yksikkö mult on
Yleinen (inst_A_width: integer: = 8;
inst_B_width: integer: = 8
);
satama (a: in std_logic_vector (inst_A_width-1 downto 0);
b: in std_logic_vector (inst_B_width-1 downto 0);
p: pois std_logic_vector (inst_A_width inst_B_width-1 downto 0));
loppuun mult;
arkkitehtuuri käyttäytymistieteellisen ja mult on
aloittaa
p <= unsigned (a) * unsigned (b);
loppuun käyttäytymiseen;
Tein sen Design Compilere,
siltä osin kaikki ok.
Sen jälkeen, kun käytän linkkiä komento,
saan seuraavan virheilmoituksen.
design_vision-t>-linkkiä
Linking design "mult_dw"
Käyttäen seuraavia malleja ja kirjastot
-------------------------------------------------- ------------------------
tyypillinen (kirjasto) / usr/eda/libraries/tsmc013/synopsys/typical.db
dw_foundation.sldb (kirjasto) / usr / eda / Synopsys / SYN /
libraries / SYN / dw_foundation.sldb
Varoitus: ei voi ratkaista viite "mult_dw_DW02_mult_8_8_0 'in' mult_dw".(LINK-5)
0
tai kun yritän viedä VHDL-muodossa
design_vision-t> kirjoittaa-hierarkia-format VHDL-tuotos mult_synthed.vhd
Varoitus: On tietojen välinen ero Synopsys tietokanta ja tulostus tiedostoon.(VHDL-286)
Varoitus: On tietojen välinen ero Synopsys tietokanta ja tulostus
...
Olen luonut yksinkertaisen dc_setup tiedosto tutkimaan ongelmaa:
asettaa search_path [luettelo / usr/eda/libraries/tsmc013/synopsys \
/ usr/eda/libraries/tsmc013/symbols/synopsys / usr/eda/libraries/tsmc013/vhdl $ search_path]
asettaa target_library [luettelo typical.db]
asettaa link_library [luettelo (*) typical.db]
asettaa symbol_library [luettelo tsmc13.sdb]
# Designware Kirjastot
asettaa synthetic_library [luettelo dw_foundation.sldb]
asettaa link_library [concat $ target_library $ synthetic_library]
asettaa search_path [concat $ search_path [luettelo \
[muotoon% s% s $ synopsys_root / dw / sim_ver]]]
asettaa synlib_wait_for_design_license [luettelo DesignWare]
The Designware Kirjastot kokoonpanon sain sen poistamiseksi Designware Käyttöopas.
Myös i varmistanut, että Designware lisenssi on käytössä.
Olen clueless
<img src="http://www.edaboard.com/images/smiles/icon_cry.gif" alt="Crying tai Erittäin surullista" border="0" />
,
Paljon kiitoksia avusta.