määrittää Kello input pin

B

Buenos

Guest
hei

miten määritellä kellon syöttää PIN-koodi on Xilinx Spartan-2 ja ISE?

PACE doesnt saanen asettaa "P80", kuten PIN-CLKIN sataman alkuun VHDL-moduuli.Se antaa minulle asettaa "Pxx" ja muihin satamiin.
Klo Pin-80, on GCLK0 syöttää PIN-koodi.

Spartan-2 on noin nastat kuin oma GCLK nastat.Spartan3 on noin Pins: IOxxxx / GCLKy

 
Ehkä versio PACE on rikki.Varmista, että sinulla uusin ISE Service Pack.

Tässä muutamia vaihtoehtoja:

Voit laittaa LOC este omalle UCF tiedostoon:
NET "CLK" LOC = "P80";

Tai laittaa LOC ominaisuus omalle HDL-tiedosto:
Koodi:

library IEEE;

käytön ieee.std_logic_1164.all;

käytön ieee.std_logic_unsigned.all;yksikkö alkuun on

satamien

(

CLK: in std_logic;

Q: out std_logic_vector (3 downto 0)

)

ominaisuus LOC: string;

ominaisuus LOC CLK: signaali on "P80";

lopussa top;arkkitehtuuri archi huippu on

signaali tmp: std_logic_vector (3 downto 0): = "0000";

aloittaa

prosessi (CLK)

aloittaa

jos (rising_edge (CLK)) sitten

tmp <= tmp 1;

end if;

end process;

Q <= tmp;

lopussa archi;
 

Welcome to EDABoard.com

Sponsor

Back
Top