K
khamitkar.ravikant
Guest
Hei kaikki
Olen suunnittelu koodi AES-järjestelmän
koska järjestelmä toimii 128bit tietojen ja 128 bitin keskeisten
Olen valmis kaikkiin moduulia, jotka työskentelevät tiedot tiellä 128 bittiä
mutta haluan syöttää ulkoiseen yrityksen muodossa 32bit
syöttää tekstiä kuin 32-bittinen tietojen syöttö simillerly keskeinen panos on 32 bittinen ja tuotos
on 32-bittinen.
joten kaikki tämä pitäisi tulla sisälle, kello syklit ja tuotannon pitäisi toimia, kun sisäistä logiikkaa
on ohi ja lähtöihin Kello synchronus tavalla.
joten tarvitsen apua tässä asiassa, jos jotkut kaveri help me please
Aion olla kiitollinen hänelle on tällaista apua.
Esimerkiksi koodi
nimellä
yksikköä ydin on
portti (CLK: in std_logic;
textIn: in std_logic_vector (31 downto 0);
keyIn: in std_logic_vector (31 downto 0);
textOut: out std_logic_vector (31 downto 0)
)
arkkitehtuuri RTL keskeisten on
signaali --------------------
aloittaa
prosessi (CLK)
muuttuja ---------- rekisterit --------
aloittaa
jos (CLK = '1 'ja clk'event) jälkeen
muuttujan tapausta kohti ilmoitus
end process;
lopussa RTL;
Älkää somebuddy auttaa minua.
minun logiikka ei toimi täällä
koska olen yrittänyt paljon.
kiitoksia paljon
Olen suunnittelu koodi AES-järjestelmän
koska järjestelmä toimii 128bit tietojen ja 128 bitin keskeisten
Olen valmis kaikkiin moduulia, jotka työskentelevät tiedot tiellä 128 bittiä
mutta haluan syöttää ulkoiseen yrityksen muodossa 32bit
syöttää tekstiä kuin 32-bittinen tietojen syöttö simillerly keskeinen panos on 32 bittinen ja tuotos
on 32-bittinen.
joten kaikki tämä pitäisi tulla sisälle, kello syklit ja tuotannon pitäisi toimia, kun sisäistä logiikkaa
on ohi ja lähtöihin Kello synchronus tavalla.
joten tarvitsen apua tässä asiassa, jos jotkut kaveri help me please
Aion olla kiitollinen hänelle on tällaista apua.
Esimerkiksi koodi
nimellä
yksikköä ydin on
portti (CLK: in std_logic;
textIn: in std_logic_vector (31 downto 0);
keyIn: in std_logic_vector (31 downto 0);
textOut: out std_logic_vector (31 downto 0)
)
arkkitehtuuri RTL keskeisten on
signaali --------------------
aloittaa
prosessi (CLK)
muuttuja ---------- rekisterit --------
aloittaa
jos (CLK = '1 'ja clk'event) jälkeen
muuttujan tapausta kohti ilmoitus
end process;
lopussa RTL;
Älkää somebuddy auttaa minua.
minun logiikka ei toimi täällä
koska olen yrittänyt paljon.
kiitoksia paljon