Virhe malli sim! Auttakaa!

J

jianhuachews

Guest
Hei kaverit .. Voiko kukaan auttaa minua katsomaan ongelmaa ..? ModelSim antoi minulle tämän virheen minun testipenkkiin ..
# ** Virhe: C: / Users / Chew / Desktop / columncounter tb.vhd (20): Signal "col_out" on tyyppi ieee.std_logic_1164.STD_LOGIC_VECTOR; odottaa tyyppi ieee.NUMERIC_STD.UNSIGNED.
Vaikka Omat ohjelmakoodi voidaan laatia ... En tiedä mikä on vialla! ohjelma
Code:
 kirjasto IEEE; käyttää IEEE.STD_LOGIC_1164.ALL, käyttö IEEE.STD_LOGIC_UNSIGNED.ALL; kokonaisuus column_counter on portti (col_out: std_logic_vector (3 downto 0), RST: in std_logic; CLK: in std_logic); loppuun column_counter; arkkitehtuuri käyttäytymiseen ja column_counter on signaali Temp: std_logic_vector (3 downto 0), alkaa prosessi (CLK) alkaa jos (rising_edge (CLK)) then if (RST = '1 ') sitten temp '0', muut => '1 '); muuten lämpötila (1)
 
Onko ylimääräistä Puolipiste tässä rivi testipenkki koodin? signaali col_out: std_logic_vector (3 downto 0);
 
col_out: std_logic_vector (3 downto 0);!
omassa pääohjelmassa sisällä kokonaisuus tämä linja on "in" tai "OUT"??? julistaa sen
 
Hi guys! Kiitos avusta ulos paikalla virheitä. Olen ilmoittanut sen "ulos"-rivin kokonaisuus. ja myös olen poistanut ylimääräiset ";" Mutta se on silti, että sain saman virheen!
 
hi guys! Kiitos avusta ulos paikalla virheitä. Olen ilmoittanut sen "ulos"-rivin kokonaisuus. ja myös olen poistanut ylimääräiset ";" Mutta se on silti, että sain saman virheen!
se toimii .. in ISIM kun sitä muokataan
 
Hei sanju kiitos yrittämällä kääntää se! Minulla oli se kirjoitettu jokin muu tiedosto täsmälleen sama muokata koodeja ja se toimii ... Ihmettelen, miksi .. ANW Kiitos paljon apua kaverit! :)
 

Welcome to EDABoard.com

Sponsor

Back
Top