auttaa script dc_shell-t

O

ouahhabi5

Guest
Tiedän, että on tyhmä kysymys, mutta olen vain bigginer tässä on sitä mieltä,
that actions :

Haluan kirjoittaa skripti, jonka tcl kielellä,

että toimet:
-Nollaa suunnittelu
-ceation kellon
-voimassa panoksia satama paitsi sataman CLK
-voimassa tuotoksia satama
-hyväksymään käyttöolosuhteissa, jotka on eriteltävä teknologian kirjakauppa
-Automaattinen valinta suoritetaan wire_load_model
- Määritellä driving_cell ja tuotantopanosten (paitsi CLK)
-määritellä enintään kapasitanssi on panoksia satama
-määritellä kapasitiivinen kuormitus tuotoksia satamaettä spefications ovat:
-Taajuus tasatuntien 200 MHz (5ns)
-Liikevoitto edellytys wc edustaa de la libraire core_slow.db (1.62V, 125 ° C)
-Wire_load_model Automaattinen valinta
-Rajoitteet panoksista 80% tasatuntien aikana
-Rajoitteet tuotosta 20% tasatuntien aikana
-Cell syötettävässä panoksiin t f de f 1 1 T nastainen T Q t
-Kapasitanssi max annetun tuotantopanosten 5 T ja 2 1 T nastainen T A T
-Joukko lohkojen mukana tuotokset 3Olen juuri kirjoittanut tämän lignes:
reset_design
create_clock jakson 5-nimi myclk [get_ports CLK]
set_input_delay 1 max-kellon myclk [remove_from_collection [all_ tuotantopanosten] [get_ports CLK]]
set_output_delay 1-maxkerro plz jos se on oikea, ja i-kirjain kaivata joitakin auttaa jatkamaan script kiitos kaikille

 
Tässä se menee Sen alkaen DC koulutusmateriaali!
DC traininng materiaali on hyvä viite kun kirjoittaa ur komentosarjoja!

Code:

# Luo käyttäjän määrittämät muuttujat

asettaa CLK_PORT [get_ports CLK]

asettaa CLK_PERIOD 4.0

asettaa WC_SKEW 0,25

asettaa DRV_CELL buf1a3

asettaa DRV_PIN "Y"

asettaa OUTPUT_LOAD [load_of ssc_core_slow/buf1a2/A]

asettaa INPUT_DELAY 2.0

asettaa OUTPUT_DELAY 2.0

asettaa ALL_INS_EX_CLK \

[remove_from_collection [all_inputs] [get_ports CLK]]# Käynnistä kanssa unconstrainted suunnittelu

reset_designset_operating_conditions-max slow_125_1.62create_clock-ajan $ CLK_PERIOD-nimi my_clk $ CLK_PORTset_dont_touch_network [get_clocks my_clk]set_clock_uncertainty $ WC_SKEW [get_clocks my_clk]set_driving_cell-lib_cell $ DRV_CELL-nastainen $ DRV_PIN $ ALL_INS_EX_CLKset_load $ OUTPUT_LOAD [all_outputs]set_input_delay $ INPUT_DELAY-max-kellon my_clk $ ALL_INS_EX_CLKset_output_delay $ OUTPUT_DELAY-max-kellon my_clk [all_outputs]

 
thanks you very much realy i dont know what can i do without this forum.

tämä on erittäin paljon apua teiltä nand_gates

kiittää teitä erittäin paljon todella i dont tiedä, mitä voin tehdä ilman tätä foorumia.can you tell more about DC training material
and if you have it can you give it to me.

plz nand_gates
voitteko kertoa lisää DC koulutusmateriaali
ja jos olet se voi antaa sen minulle.Kiitos vielä kerran ja jälleen [/ img]

 

Welcome to EDABoard.com

Sponsor

Back
Top